litex-boards/litex_boards/targets/terasic_de10lite.py

115 lines
4.4 KiB
Python
Raw Normal View History

2019-06-10 11:09:51 -04:00
#!/usr/bin/env python3
#
# This file is part of LiteX-Boards.
#
# Copyright (c) 2019 msloniewski <marcin.sloniewski@gmail.com>
# SPDX-License-Identifier: BSD-2-Clause
2019-06-10 11:09:51 -04:00
from migen import *
from migen.genlib.resetsync import AsyncResetSynchronizer
2019-06-10 11:09:51 -04:00
from litex.gen import LiteXModule
from litex.build.io import DDROutput
from litex_boards.platforms import terasic_de10lite
2019-06-10 11:09:51 -04:00
from litex.soc.cores.clock import Max10PLL
2020-06-11 13:59:32 -04:00
from litex.soc.integration.soc import SoCRegion
from litex.soc.integration.soc_core import *
2019-06-10 11:09:51 -04:00
from litex.soc.integration.builder import *
from litex.soc.cores.video import VideoVGAPHY
from litex.soc.cores.led import LedChaser
2019-06-10 11:09:51 -04:00
from litedram.modules import IS42S16320
from litedram.phy import GENSDRPHY
2019-06-10 11:09:51 -04:00
# CRG ----------------------------------------------------------------------------------------------
class _CRG(LiteXModule):
def __init__(self, platform, sys_clk_freq):
self.rst = Signal()
self.cd_sys = ClockDomain()
self.cd_sys_ps = ClockDomain()
self.cd_vga = ClockDomain()
2019-06-10 11:09:51 -04:00
# # #
2020-01-09 13:46:39 -05:00
# Clk / Rst
clk50 = platform.request("clk50")
2020-01-09 13:46:39 -05:00
# PLL
self.pll = pll = Max10PLL(speedgrade="-7")
self.comb += pll.reset.eq(self.rst)
pll.register_clkin(clk50, 50e6)
pll.create_clkout(self.cd_sys, sys_clk_freq)
pll.create_clkout(self.cd_sys_ps, sys_clk_freq, phase=90)
pll.create_clkout(self.cd_vga, 40e6)
2020-01-09 13:46:39 -05:00
# SDRAM clock
self.specials += DDROutput(1, 0, platform.request("sdram_clock"), ClockSignal("sys_ps"))
2019-06-10 11:09:51 -04:00
# BaseSoC ------------------------------------------------------------------------------------------
class BaseSoC(SoCCore):
def __init__(self, sys_clk_freq=int(50e6), with_led_chaser=True, with_video_terminal=False,
**kwargs):
platform = terasic_de10lite.Platform()
# CRG --------------------------------------------------------------------------------------
self.crg = _CRG(platform, sys_clk_freq)
2019-06-10 11:09:51 -04:00
# SoCCore ----------------------------------------------------------------------------------
SoCCore.__init__(self, platform, sys_clk_freq, ident="LiteX SoC on DE10-Lite", **kwargs)
# SDR SDRAM --------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
if not self.integrated_main_ram_size:
self.sdrphy = GENSDRPHY(platform.request("sdram"), sys_clk_freq)
self.add_sdram("sdram",
phy = self.sdrphy,
module = IS42S16320(sys_clk_freq, "1:1"),
l2_cache_size = kwargs.get("l2_size", 8192)
)
2019-06-10 11:09:51 -04:00
# Video Terminal ---------------------------------------------------------------------------
if with_video_terminal:
self.videophy = VideoVGAPHY(platform.request("vga"), clock_domain="vga")
self.add_video_terminal(phy=self.videophy, timings="800x600@60Hz", clock_domain="vga")
2020-06-11 13:59:32 -04:00
# Leds -------------------------------------------------------------------------------------
if with_led_chaser:
self.leds = LedChaser(
pads = platform.request_all("user_led"),
sys_clk_freq = sys_clk_freq)
2019-06-10 11:09:51 -04:00
# Build --------------------------------------------------------------------------------------------
def main():
from litex.soc.integration.soc import LiteXSoCArgumentParser
parser = LiteXSoCArgumentParser(description="LiteX SoC on DE10-Lite")
target_group = parser.add_argument_group(title="Target options")
target_group.add_argument("--build", action="store_true", help="Build design.")
target_group.add_argument("--load", action="store_true", help="Load bitstream.")
target_group.add_argument("--sys-clk-freq", default=50e6, help="System clock frequency.")
target_group.add_argument("--with-video-terminal", action="store_true", help="Enable Video Terminal (VGA).")
2019-06-10 11:09:51 -04:00
builder_args(parser)
soc_core_args(parser)
2019-06-10 11:09:51 -04:00
args = parser.parse_args()
soc = BaseSoC(
sys_clk_freq = int(float(args.sys_clk_freq)),
with_video_terminal = args.with_video_terminal,
**soc_core_argdict(args)
)
2019-06-10 11:09:51 -04:00
builder = Builder(soc, **builder_argdict(args))
if args.build:
builder.build()
2019-06-10 11:09:51 -04:00
if args.load:
prog = soc.platform.create_programmer()
prog.load_bitstream(builder.get_bitstream_filename(mode="sram"))
2019-06-10 11:09:51 -04:00
if __name__ == "__main__":
main()