Commit Graph

28 Commits

Author SHA1 Message Date
Clifford Wolf f4bb91b060 RISC-V ISA 2.1 now calls "sbreak" officially "ebreak" 2016-06-06 10:46:52 +02:00
Clifford Wolf 76f30e4810 Updated stats for Vivado 2016.1 2016-04-20 11:38:13 +02:00
Clifford Wolf 5ccd0bb572 Fixed scripts/vivado/table.sh output formatting 2016-04-13 15:28:09 +02:00
Clifford Wolf 713725d14f Added xcku/xcvu handling to scripts/vivado/table.sh 2016-04-13 12:16:20 +02:00
Clifford Wolf 2fdafb9c16 Added BARREL_SHIFTER config parameter 2016-04-12 17:30:31 +02:00
Clifford Wolf 0e9bdd0082 Added Kintex/Virtex UltraScale to "make table.txt" in scripts/vivado/ 2016-04-12 13:12:23 +02:00
Clifford Wolf 0d91dfa59e Updated area table 2016-04-09 14:57:44 +02:00
Clifford Wolf 812d4d0793 Added $(VIVADO_BASE) to vivado Makefile 2015-07-16 18:59:58 +02:00
Clifford Wolf d8c3157bf8 Improved firmware for vivado "system" example 2015-07-16 11:11:45 +02:00
Clifford Wolf 0249d538fa Improved vivado "system" example 2015-07-12 22:59:21 +02:00
Clifford Wolf 94edf3565d Vivado "system" example 2015-07-09 02:48:14 +02:00
Clifford Wolf 51be282633 Updated evaluation 2015-07-08 22:31:03 +02:00
Clifford Wolf a97a715987 Enabled report_timing in vivado synth_area scripts 2015-07-08 10:16:10 +02:00
Clifford Wolf bd1cc3466f Updated eval data 2015-07-08 09:48:42 +02:00
Clifford Wolf 686f77facb Updated area and timing stats 2015-07-02 14:41:15 +02:00
Clifford Wolf 198c995c8f Back to Vivado 2015.1
my synthesis license has a 2015.05 version limit..
2015-07-01 22:42:25 +02:00
Clifford Wolf 84e2202fef Vivado 2015.2 area evaluation 2015-07-01 22:18:20 +02:00
Clifford Wolf e72abc0284 Added vivado synth_area_{small,regular,large}.tcl scripts 2015-07-01 21:51:15 +02:00
Clifford Wolf 553b1ef143 Updated Xilinx 7-Series area stats 2015-07-01 21:48:51 +02:00
Clifford Wolf 094dc690bb Added resource utilization to xilinx eval 2015-06-28 13:51:37 +02:00
Clifford Wolf ef8796de45 Minor vivado script changes 2015-06-27 23:05:00 +02:00
Clifford Wolf dee66e136e Added "make table.txt" vivado scripts 2015-06-27 13:55:33 +02:00
Clifford Wolf 60fdba89d0 Updated vivado scripts 2015-06-26 23:41:13 +02:00
Clifford Wolf 1a664f9b97 Changed chip package in vivado examples 2015-06-26 10:46:51 +02:00
Clifford Wolf 8590c7d2a8 Updated Vivado SoC example 2015-06-10 16:48:06 +02:00
Clifford Wolf 26127b45de Makefile for Vivado scripts 2015-06-09 12:45:45 +02:00
Clifford Wolf 0257d2cb08 Small improvements in vivado_soc demo 2015-06-08 19:58:28 +02:00
Clifford Wolf 072e5ca2c5 Added osu018 yosys synthesis script 2015-06-08 09:31:56 +02:00